본문 바로가기
경제를 배우자.

노광 기술의 과거와 오늘 그리고 미래(EUV)

by 로칸 2022. 8. 8.

EUV(Extreme Ultra Violet)

ASML EUV 장비

1. 나노미터의 시대

지금 우리 시대를 나노미터의 시대라고 부른다. 대체 무엇이 나노미터 시대인가?

실리콘 웨이퍼 위에 얇은 산화 매을 입히는 과정이 끝나면 본격적인 반도체 공정이 시작되는데 여기서 등장하는 게 
포토레지스트(감광제)이다. 일본 수출규제 당시 수출규제 품목이었다. 오늘의 주인공인 노광 과정이 등장하기 전에 산화막 처리가 된 웨이퍼 위에 감광제를 바르게 되면 모든 준비가 완료가 된다.
여기에 회로를 그리는 노광 공정이 진행될 차례입니다. 노광공정은 회로에 밑그림을 그리는 작업이다. 
노광공정 이후에 작업은 각층을 벗겨내는 작업이기 때문에 가장 세밀한 작업이 필요한 곳, 미세 공정의 키를 쥐고 있는 공정이 노광공정이다.

광원의 발전

노광공정의 기술력은 노광장비의 성능에서부터 시작된다.
기본적으로 노광장비의 성능을 결정하는 여러 요소 중의 하나가 바로 광원의 종류가 된다.
업계는 더 짧은 파장을 갖는 광원을 노광장비에 활용하기 위해 연구해 왔습니다.
80년대, 90년대 이후 지금까지의 반도체 노광기술의 발전에 대한 대략적인 흐름이 정리가 되시나요?
아직도 현역에서 활발하게 사용되는 ArF 193nm인데 어떻게 수십 nm의 미세 회로를 그릴 수 있는지에 대한 의문이 든다. 

미세공정을 위한 기술 발전

이때 등장하는 기술이 액침노광과 멀티 패터닝이다.  

액침 노광

액침노광은 액체에 광원을 굴절시켜 193nm의 파장으로 더 세밀한 패턴을 그릴 수 있도록 돕는 것이고 멀티 패터닝은 노광, 현상, 식각을 여러 번 진행해서 미세 회로를 그리는 기술입니다.

멀티 패터닝을 통한 미세화 패턴

멀티 패터닝으로 더 세밀한 회로를 그릴 수 있다?

기성 장비로 한 번에 그릴 수 있는 가장 미세한 패턴을 그려본다. 이후 현상과 식각을 하게 되면 불화 아르곤 액침노광으로 달성할 수 있는 미세 회로가 그려진다. 그러고 나서 다시 한번 패터닝을 한다. 이번에는 위치를 조금 조정하여 다시 한번 노광 작업을 하면 한 번에 그릴 수 없었던 수준의 조밀한 패턴의 미세회로가 그려진다. 이것을 더블 패터닝이라 한다. 동일하게 트리플, 쿼터러블로 패터닝수를 늘려나가면 계속해서 조금씩 더 세밀한 회로를 그릴 수 있게 된다. 이것이 멀티 패터닝이고 이런 방법으로 10nm 기술까지 오게 되었다. 

1. 정렬오차
2. 공정의 증가

하지만 여기서 더 앞으로 나가지 못하는 이유?
1. 정렬 오차인데 여러 번의 멀티 패터닝으로 조금씩 엇나가는 패턴이 발생하게 된다. 
2. 공정의 증가. 생산공정이 길어지기 때문에 생산에 필요한 물적, 시간적 비용이 선형 적으로 계속 늘어난다.
이러한 문제를 막기 위해 다양한 방안이 고안되었다.

스페이서 적용

대표적인 것이 스페이서를 통해 정렬 오차를 줄이는 방법이 있다. 하지만 이 경우 역시 스페이서를 증착시키고 제거하는 공정이 추가되기 때문에 정렬 오차는 개선되지만 시간과 비용은 증대된다. (공정의 수가 더 증가) 모든 방법을 해결하기는 쉽지 않다. 업계는 193nm의 불화 아르곤을 가지고 10nm TSMC는 7nm까지 ArF로 끌어왔는데 한계에 다다르게 되었다. 멀티 패터닝이 더해지며 늘어나는 공정, 패턴의 품질의 이유로 EUV 가 등장하게 된다. (비용)

2. EUV의 등장 이유

EUV 등장

13.5nm의 파장을 갖는다.
멀티 패터닝 때문에 추가된 공정들이 획기적으로 줄어들고 훨씬 더 신뢰도 높은 회로 패턴을 구현할 수 있었다. 
10nm에 머물러있는 DRAM 공정에서도 EUV를 도입하고 있다,

공정수 감소
정밀한 패턴 생성

SK, 삼성 기업들이 서둘러 EUV 도입하였다.
장비가 ArF 700억 대비 EUV 1500억 장비가 배가 비싸지만 남들보다 먼저 EUV전환기를 이겨낸다면 더 빠르고 더 신뢰도가 높은 DRAM을 생산할 수 있는 진보를 할 수 있다.
최근 경쟁사인 마이크론이 dram시장에서 가장 빠르게 1a 공정을 도입하면서 우리 기업들이 패권을 빼앗겼다는 잘못된 기사들이 잘못된 이유가 여기에 있다. 
EUV로 공정을 전환하는 두 기업과 1b공정까지도 EUV 공정 없이 공정 로드맵을 구성하는 기업을 동일선상에서 놓고 비교하는 것은 EUV의 진짜 의미에 대해서 모르고 결론을 내리는 것이다.

기업별 EUV 장비 보유대수

메모리 시장보다 이 EUV 단어가 중요한 시장이 logic 반도체 시장인데 EUV가 관여하는 프로세서가 압도적으로 많기 때문에 훨씬 더 많은 EUV 장비가 필요하다. 현재까지 각 기업들이 ASML로부터 구매한 EUV 노광 장비의 개수가 대략적으로 확인되는데 2020년 인텔의 확보 대수가 1이다. 이 숫자들 속에 EUV 전환을 두고 고민하는 인텔의 모습이 보인다. 또 중요한 것은 TSMC 가 대부분의 물량을 가져갔다. 
SK하이닉스 7대 1a공정을 맞춰나가기엔 큰 무리가 없는 수준이다. 삼성의 경우는 두 번째로 많이 EUV를 확보하였다.

ASML EUV 장비

보유 경쟁사 대비 부족한 거 아닌가?

3. 미성숙 시의 시장 전략

해당 문제를 두고 틀렸다고 하긴 어렵다. 하지만 현재 숫자가 얼마나 유리한지에 대해서 좀 더 고민해 보아야 할 부분이다. 
첫 번째는 장비의 성능인데 이전에 구매한 장비와 오늘 구매한 장비 간의 성능 차이가 있다는 것이다.
계속해서 장비 성능이 업그레이드되어오고 있다는 것입니다. 시간이 갈수록 현재 보유 장비 격차에 의한 유불리는 조금씩 희석이 된다.

더 중요한 부분은 새로운 모델이 성능뿐만 아니라 그릴 수 있는 세밀도도 차이가 난다. 다시 말해 일정 이상 수준으로 공정이 넘어가게 되면 어차피 새로운 EUV 장비들이 필요하게 된다는 것이다. 
현재 TSMC가 주력으로 사용하고 있는 노광장비가 NXE3400C이다, 조만간 다음 제품인 EXE5000은 같은 웨이퍼 생산량을 갖고 있지만 다른 게 있다.
NA(개구수)인데 개구수가 높다는 것은 EUV 장비의 작업 원리에 의해 집 광력을 높여 더 세밀한 패턴을 그릴 수 있다는 것이다. 

EUV 미래 High NA EUV

현재 3400C NA 0.33 앞으로 등장하게 될 미세공정은 High NA EUV 장비가 사용될 것이기 때문에 현재의 EUV 장비를 쟁여 놓는 것보다는 필요한 만큼의 장비를 확보하고 new High NA 장비를 확보하는 것이 중요하다. 
지금 EUV가 7nm를 뚫기 위한 장비라면 앞으로의 등장하게 될 EUV 장비는 nm를 넘어 옹스트롬 시대를 위한 장비가 된다.

4. DUV와  EUV 그리고 앞으로의 반도체의 미래

DUV 이야기

DUV 장비 : 광원이 렌즈를 통해 마스크를 통과하는 기술

DUV 노광장비는 광원이 불화 아르곤이 되고 렌즈를 통해 마스크를 통과한 광원이 다시 모아지고 웨이퍼 위에 스케일이 축소된 형태로 전사되는 개념이죠. 이 사이에는 액침노광을 통해 굴절율을 극대화해 해상도를 높이고 여기에 멀티 패터닝이 가세하면서 193nm 파장으로도 10nm까지의 시대를 이어왔다. 

EUV의 경우는 노광장비의 구조가 조금 다르다. EUV의 경우 파장의 크기가 이상적이지만 다른 물질에 쉽게 흡수된다는 것이다. 

따라서 액체를 통과하는 액침노광은 물론이고 렌즈를 집광해야 하는 기존의 렌즈 방식을 사용하기 어렵다. 렌즈와 물과 같은 물질에 통과시키지 않고 어떻게 집광을 하고 노광장비를 만들 수 있을까 하는 의문이 든다. 렌즈를 통과시키기 어렵다면 반사를 시키는 방법이 있다. 그렇게 만들어진 것이 아래 그림과 같은 기묘한 모양을 가진 반사계의 장비가 EUV 장비이다.
렌즈를 통과시키면 EUV가 흡수되니까 오목렌즈에 반사시켜 집광을 하고 마스크에도 마찬가지로 반사를 시켜서 마스크 패턴 모양이 웨이퍼에 전사되는 방식이다.

EUV 요구되는 공학기술

따라서 엄청난 공학기술이 요구된다.
첫 번 째는 표면 반사도, 표면의 각도, 광원에서 웨이퍼까지 이르기까지의 반사경까지의 완벽한 alignment 실로 엄청난 광학기술이 바로 EUV 장비 안에 담겨있다.
두 번째로 문제가 되는 부분이 펠리클에 대한 부분인데 이상적인 상태를 조성한다고 해도 노광공정이 진행되면 발생하는 입사를 100% 완벽하게 회수하는 것은 불가능하겠다. 이 입자들이 마스크에 달라붙게 되면 패턴의 정확도가 떨어지게 되는데 이것을 막기 위한 부분이 펠리클인데 문제는 역시 EUV가 가진 흡수성이다. 
흡수를 피하기 위해 통과 대신 반사를 통한 EUV 장비의 작동원리를 펠리클에서는 적용할 수가 없는 것이다.

펩리클투과율

결국 어떻게 해서든 레티클로 들어갈 때 1번 나갈 때 1번 2번은 무조건 EUV가 펠리클을 통과해야 하는데 EUV를 완벽하게 통과시키면서 입자를 막을 수 있는 펠리클을 만드는 것, 이것이 바로 풀리지 않는 또 하나의 대표적인 난제이다.
관련해서는 아무리 낮아도 88%는 갈 수 있는 펠리클을 만들어 보자는 것이 업계의 목표인데 2009년에 일러서야 83%
최근에 들어서서야 88%에 이르렀다. 하지만 이역시 2번을 통과하면 77.44% 로 광원이 엄청 떨어지게 되는데 EUV시스템이 완성되기 위해서는 갈길이 먼 상황이다. 이런 이유들로 인해 하루 웨이퍼 노광 능력이 4000장이 TSMC에서는 2000장 수준에서 활용되고 있다. 본격적인 양산을 위해서는 90% 이상 투과도를 가진 펩리클이 필요한 상황이다.

여기까지가 기술적인 난제들에 대한 내용을 설명하였다. 

에피소드를 마무리하며

작은 변화가 나노시대를 이끌었다. 앞으로 주목받지 못한 또 다른 변화가 옹스트롱의 시대를 이끌어 나갈 것이다. 

(출처 Gadget seoul 유튜버)

반응형

댓글


TOP

Designed by 티스토리